CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems

Siddhu, Lokesh and Kedia, Rajesh and Pandey, Shailja and et al, . (2022) CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems. ACM Transactions on Architecture and Code Optimization, 19 (3). pp. 1-25. ISSN 1544-3566

[img] Text
ACM_Transactions.pdf - Published Version
Available under License Creative Commons Attribution.

Download (5MB)

Abstract

Processing cores and the accompanying main memory working in tandem enable modern processors. Dissipating heat produced from computation remains a significant problem for processors. Therefore, the thermal management of processors continues to be an active subject of research. Most thermal management research is performed using simulations, given the challenges in measuring temperatures in real processors. Fast yet accurate interval thermal simulation toolchains remain the research tool of choice to study thermal management in processors at the system level. However, the existing toolchains focus on the thermal management of cores in the processors, since they exhibit much higher power densities than memory. The memory bandwidth limitations associated with 2D processors lead to high-density 2.5D and 3D packaging technology: 2.5D packaging technology places cores and memory on the same package; 3D packaging technology takes it further by stacking layers of memory on the top of cores themselves. These new packagings significantly increase the power density of the processors, making them prone to overheating. Therefore, mitigating thermal issues in high-density processors (packaged with stacked memory) becomes even more pressing. However, given the lack of thermal modeling for memories in existing interval thermal simulation toolchains, they are unsuitable for studying thermal management for high-density processors. To address this issue, we present the first integrated Core and Memory interval Thermal (CoMeT) simulation toolchain. CoMeT comprehensively supports thermal simulation of high- and low-density processors corresponding to four different core-memory (integration) configurations-off-chip DDR memory, off-chip 3D memory, 2.5D, and 3D. CoMeT supports several novel features that facilitate overlying system research. CoMeT adds only an additional similar to 5% simulation-time overhead compared to an equivalent state-of-the-art core-only toolchain. The source code of CoMeT has been made open for public use under the MIT license.

[error in script]
IITH Creators:
IITH CreatorsORCiD
Kedia, RajeshUNSPECIFIED
Item Type: Article
Uncontrolled Keywords: TEMPERATURE-AWARE,ENERGY EFFICIENCY,DESIGN
Subjects: Computer science
Divisions: Department of Computer Science & Engineering
Depositing User: . LibTrainee 2021
Date Deposited: 20 Sep 2022 05:15
Last Modified: 20 Sep 2022 05:15
URI: http://raiithold.iith.ac.in/id/eprint/10622
Publisher URL: http://doi.org/10.1145/3532185
OA policy: https://v2.sherpa.ac.uk/id/publication/10667
Related URLs:

Actions (login required)

View Item View Item
Statistics for RAIITH ePrint 10622 Statistics for this ePrint Item